
verilog case 範例 在 コバにゃんチャンネル Youtube 的最佳解答

Search
採用CASE 語法設計ALU. 其實、在Verilog 當中,我們並不需要自行設計加法器,因為Verilog 提供了高階的「+, -, *, ... ... <看更多>
分享範例,這是一個很簡單的Verilog 程式,是2016年我在嘉義講授FPGA/Verilog HDL ... 2 收集應用案例(Use Case):收集應用的各種狀況和場景,整理成案例,以航空機票 ... ... <看更多>
#1. Verilog HDL行為模型的case敘述編寫方式---- [範例01]
SD工作室Verilog 教學eBOOK (Taiwan Version:01)// ... Aug 18. 2014 22:46. Verilog HDL行為模型的case敘述編寫方式---- [範例01]. 4935. 創作者介紹.
#2. 行為層次Behavior Level | Verilog HDL 教學講義 - hom-wang
5.1 always敘述 · 5.2 if-else敘述 · 5.3 case、casex與casez敘述 · 5.4 for敘述 · 5.5 Blocking/Non-Blocking敘述 · 5.6 實際範例.
#3. [ Verilog Tutorial ] 行為模型的敘述: always, if/else, case 與for ...
* 多個訊號: 利用or 關鍵字連接不同訊號, 也屬於屬於準位觸發. * 邊緣觸發: 正緣觸發/posedge 或負緣觸發/negedge. 接著來看單一訊號範例:.
本文首发于微信公众号“花蚂蚁”,想要学习FPGA及Verilog的同学可以关注 ... case语句是一种多分支选择语句,if语句只有两个分支可供选择,而实际问题中 ...
关键词:case,选择器case 语句是一种多路条件分支的形式,可以解决if 语句中有多个条件选项时使用不方便的问题。 case 语句case 语句格式如下: case(case_expr) ...
#6. Verilog (4) – 算術邏輯單元ALU 的設計(作者:陳鍾誠)
採用CASE 語法設計ALU. 其實、在Verilog 當中,我們並不需要自行設計加法器,因為Verilog 提供了高階的「+, -, *, ...
Verilog 筆記結構. ... Combinational |-blocking |-non-blocking |-Condition & Branch |-case |-if-else |-FSM |-One-pulse ... 範例一、單純地給值.
#8. [Day5]if..else & case - iT 邦幫忙
[Day5]if..else & case. Verilog 從放棄到有趣系列第5 篇. Sheng. 4 年前‧ 29215 瀏覽. 2. 今天開始的幾天要來跟大家分享語法,那今天要講的是比較偏向於判斷式的 ...
#9. Verilog之case语句_u012373020的专栏 - CSDN博客
2014年5月6日 — verilog设计进阶时间:2014年5月6日星期二 主要收获:1.学会使用case语句;2.学会使用随机函数$random。 $random:1.函数说明:$random函数调用时返回 ...
#10. Verilog
9.4 case 敘述. • 範例:2X1 Multiplexor module mux21(in1, in2, sl, out); input in1, in2, sl; output out; reg out; always@(in1 or in2 or sl) begin case (sl).
#11. Verilog HDL設計範例
Verilog HDL設計範例. National Chung Hsing University. SOC & DSP Lab. ... Verilog HDL Code(part 1): Schematic-1: ... (always行為模式及case循序指令) ...
#12. (原創) 多工器MUX coding style整理(SOC) (Verilog) (Quartus II)
既然心理想的是mux,用case來窮舉自然最一目暸然, 根據[3]Altera所 ... [2] 鄭羽伸2006, Verilog數位電路設計範例寶典基礎篇, 儒林圖書出版社
#13. Verilog - 維基百科,自由的百科全書
為了使設計人員方便地使用暫存器傳輸級描述,Verilog提供了多種流程控制結構,包括 if 、 if...else 、 if...else if...else 等形式的條件結構, case 分支結構, for ...
#14. Verilog的行為模型與七段顯示器
➢Verilog可以讓設計者用演算法來描述設計的功能,也就是電路的. 行為(behavior)。 ... 例如: assign, case, if-else, for loop 等語法 ... 範例: T Flip-Flop.
#15. Verilog硬體描述語言實務(第三版)(附範例光碟) - 博客來
書名:Verilog硬體描述語言實務(第三版)(附範例光碟),語言:繁體中文,ISBN:9789864633340,頁數:320,出版社:全華圖書,作者:鄭光欽,周靜娟,黃孝祖,顏培仁, ...
#16. Verilog語法
如C語言的函數一般,Verilog的模組中不能再有. 其他的模組存在 ... 識別字的長度沒有限制. • 識別字有區分英文大小寫(case-sensitive) ... 組合邏輯電路範例.
#17. Verilog中for 語句- IT閱讀
在Verilog中除了在Testbench(模擬測試激勵)中使用for迴圈語句外, ... 複用的處理模組儘量複用,即使所有的操作不能複用,也採用case語句展開處理。
#18. Verilog HDL:同步狀態機器 - Intel
這是Verilog 範例,顯示狀態機器的實作。第一個CASE 聲明定義取決於狀態機器變數狀態值的輸出。第二個CASE 聲明定義了狀態機器的轉換以及控制它們的條件。
#19. verilog case 用法 - NLDGE
2014-12-22 verilog 中case 分支的使用問題5 2013-07-07 verilog中case語句問題3 ... 原始碼[Testbench Simulation 範例01] 上一篇: Verilog HDL行為模型的case敘述
#20. case verilog用法 - 軟體兄弟
case verilog 用法,full_case 用法见下例子,在case 语句的后面,以注释形式标注//synthesis full_case 即可。 module full_case (sel, a, y); input [1:0] sel; ...
#21. 數位邏輯設計與晶片實務(Verilog)(附範例程式光碟)
數位邏輯設計與晶片實務(Verilog)(附範例程式光碟) - △電機與電子群, 劉紹漢, 9789572192818. ... 4-4 case敘述4-28 4-5 程序指定procedural assignment 4-42
#22. Verilog 語法教學
Case Sensitivity 命名大小寫不同1) Add add aDD adD 皆代表不同. Identifiers 舉凡module, function, reg, wire 命名可用的字元有1. Integer Number ...
#23. [ Verilog Tutorial ] 行為模型的敘述: always | 蘋果健康咬一口
verilog always - [VerilogTutorial]行為模型的敘述:always,if/else,case與forloop. ... statement if (expression) begin statements end 接著來看準位觸發範例:
#24. Generate 用法
以下是使用generate for, generate if 及generate case 的範例並使用modelsim模擬結果 ... Follow. FPGA / Verilog / Python 學習筆記.
#25. Verilog HDL設計練習進階(三) | 研發互助社區
在可綜合風格的Verilog HDL模型中常用的條件語句有if…else和case…endcase兩種 ... 這一節我們給的是有關if…else的範例,有關case…endcase結構的代碼已後會經常用到。
#26. verilog case語法 - UZCCA
verilog case 語法verilog語法教學精采文章verilog基本語法,verilog語法手冊,verilog wait ... XD Verilog 語法範例宣告變數Assign 的語法Always 的語法Case 的語法IF .
#27. Verilog HDL教学讲义1st Edition_百度文库
Academic Ch1 Verilog 基本簡介範例: module 模組名稱( In1, In2, Out1, Out2, ... Academic Ch5 行為層次Behavior Level 5.3 case、casex 與casez 敘述.expr 可為定 ...
#28. VHDL語言入門教學
Case …when條件敘述指令,可以用來描述一個或一組特定的選擇訊號對於 ... 建議:使用component與port map指令,來呼叫Verilog程式。
#29. Don't care (x) space good ?? @ 工程師的碎碎唸 - 隨意窩
請看以下範例: case(selA), case(selB) 各有256 個條件式, 但都只定義了2 個, 因此總共有254 個條件式須給定don't case space 的值. LEC 須要驗證每@ @ bcshih.tw.
#30. 對Verilog 初學者比較有用的整理 - 程式前沿
不會生成鎖存器! Example3: reg[1:0] a,q; …. case (a) 2'b00 : q=2 ...
#31. 教育部主辦93學年度大學院校積體電路設計競賽Altera FPGA ...
注意,不論各參賽隊伍所使用的設計語言為Verilog 或VHDL,或是採模組化設計,請將. 系統最上層的module 命名為SS,若參賽 ... HIT case 的範例:. 參考附錄B 之範例1。
#32. Verilog 資源整理
Asic-world: http://www.asic-world.com/verilog ... 範例Coding style. For Design. Combinational circuit - Mux ... case(mux_sel)
#33. 指定Assign - 陳鍾誠的網站
Block 與Nonblocking 的比較範例. 比較一: ... 參考文獻. Understanding Verilog Blocking and Nonblocking Assignments (讚!推薦!).
#34. 【學習】VERILOG 學習筆記:大括號(大括弧 - 河馬先生睡不著
學習VERILOG 過程中,常會看到大括號包含著若干變數,整個括弧的用法,看起來就像是被 ... 這幾天為了測試 non-blocking 的用法,寫了底下的範例,卻 ...
#35. verilog case 用法Verilog里case語句應該怎么用? - UQBFK
要說verilog中case的用法,有兩種: //Version 1 reg [3:0] resault; ... 教學eBOOK 此分類下一篇: Verilog HDL行為模型的parameter敘述編寫應用—- [範例01] 上一篇: ...
#36. 自強課程
FPGA模組A-物聯網&工業4.0系列】FPGA/Verilog HDL數位邏輯電路設計與周邊控制實戰 ... 是設計語法與實作並重,透過範例與各種介面專題之設計,讓學員在以Verilog為主的 ...
#37. (原創) 如何使用Verilog將YCbCr轉RGB? (SOC ... - 51CTO博客
小美與阿帥是Lab中碩一的新生,最近正在研究DE2-70 CD中的DE2_70_TV範例,由於DE2-70_TV的input是YCbCr信號,需要轉成RGB信號後才能輸出到VGA,範例中提供 ...
#38. ModelSim - 網際星空
本篇文章內容主要在教導軟體使用,以Verilog程式為範例。 ... 即可在模擬的程序中,附帶幫你檢查testbench 對於if / case conditional statement的模擬涵蓋率。
#39. 硬體描述語言Verilog範例電路設計國立中興大學電機系廖彥璋
Introduction Goal: get familiar with the Verilog coding through a set of design examples Classifications of design examples Combinational logic Data storage ...
#40. verilog case - kycz
Verilog 中case,casez,casex 的区别在case 语句中,敏感表达式与各项值之间的 ... “1”外,還可以使用z 允許casex中的item值除了”0” “1” “z”外,還可以使用x 範例:.
#41. 一個Verilog 程式,用於偵測按鍵輸入次數並將其顯示在Seg-7 ...
分享範例,這是一個很簡單的Verilog 程式,是2016年我在嘉義講授FPGA/Verilog HDL ... 2 收集應用案例(Use Case):收集應用的各種狀況和場景,整理成案例,以航空機票 ...
#42. 使用Vitis / Vivado 實作FPGA Verilog HDL 數位邏輯電路設計與 ...
(1) 目前業界FPGA/CPLD 的主流廠家即為Xilinx,有關相關TOOL 操作,廠商與相關代理商都會提供. 良好的訓練。本課程的進行,則是設計語法與實作並重,透過範例與各種介面 ...
#43. verilog assign判斷 - Spiritsolon
Verilog ,得來全不費功夫啊當想要判斷一個數在不在一個范圍內的話如果用普通的case實現是不太現實的,來作為入門學習的第一個程序。與門的Verilog 代碼如下。 module ...
#44. 【大享】Verilog 硬體描述語言(第二版)9789861541044全華 ...
Verilog 硬體描述語言(附範例光碟片)(第二版) ... 內容簡介本書涵蓋Verilog HDL的廣泛內容,對邏輯合成部份有深入的探討並輔以實例說明。 ... 7.5.1 case敘述7-23
#45. 【大享】 Verilog硬體描述語言實務(第三版)(附範例光碟 ...
1.本書以實用電路設計為主軸,依序透過各電路範例程式的介紹,讀者自然而然就可以理解各語法敘述的使用。 2.對於同一個電路範例引用數個不同的Verilog 程式寫法,讀者藉由 ...
#46. verilog 語法case Verilog里case語句應該怎么用? - Xirafs
27/1/2021 · Case statement Verilog: The list of case choices is comparing the ... 教學eBOOK 此分類上一篇: Verilog HDL行為模型的case敘述編寫方式—- [範例01] ...
#47. 【課程一】Verilog FPGA 數位電路設計線上同步上機課程(共五日)
本課程的特色在於由淺而深、循序漸近的探討Verilog HDL的設計理念,並搭配精彩而簡易的設計範例,實際的在FPGA硬體板上徹底的實習數位電路設計。 本課程的最大目標是使學習 ...
#48. Logic Design Lab 邏輯設計實驗
Michael D. Ciletti, “Advanced Digital Design with the Verilog ... Use case Statement (cont.) ... 請問在4-bit Carry Look-ahead Adder 實驗範例中所輸入的.
#49. 實作Verilog--暫存器 - 資工趴趴熊的小天地
範例 :具有一載入控制輸入的四位元資料儲存暫存器 ... negedge Clear_b) if (~Clear_b) A_par <= 4'b0000; else case ({s1, s0}) 2'b00: A_par ...
#50. verilog always begin - Dyghk
以下程式範例片段中的begin 與end 可視為C 語言中的大括號,用來界定block 的程式範圍。 在verilog 當中,if, case 等陳述一定要放在always 或initial 的理面,always ...
#51. verilog BRAM 讀寫_實用技巧 - 程式人生
verilog BRAM 讀寫 ... 定義變數並賦值用於表示BRAM 狀態// 變數用於CASE 邏輯處理 localparam IDLE = 3'd0 ; // BRAM 空閒狀態 localparam READ_RAM ...
#52. 【VB】 Select...Case 範例 - 不會的就放這邊- 痞客邦
VB Select...Case語法範例https://docs.microsoft.com/zh-tw/dotnet/visual-basic/language-reference/stat.
#53. Verilog HDL | PDF - Scribd
範例 • case(select) ... 非限制指定• Verilog 中的「 <= 」運算子的是它成為硬體描述語言而跟普通程序語言不同之處。這種敘述稱為" 非阻塞賦值" ( non-blocking )。
#54. Verilog reg 用法在PTT/Dcard完整相關資訊
[ Verilog Tutorial ] 行為模型的敘述: always, if/else, case 與. ... b, c ); input a; output b, c; reg b, rTmp; // 範例1 always @(*) begin b = a; end // 範例2 .
#55. verilog設計經驗點滴和經典范例 - 有解無憂
verilog 設計經驗點滴和經典范例. ... Verilog中,用always塊設計組合邏輯電路時,在賦值運算式右端參與賦值的所有信號都必須在always@(敏感電平串列) ...
#56. Verilog FPGA 晶片設計(附範例光碟片)(修訂版)
Verilog FPGA 晶片設計(附範例光碟片)(修訂版). □ 內容簡介. 本書將IC 設計實務經驗深入於範例探討,且每一範例均經過模擬驗證。除了基本的設.
#57. Logic Synthesis using Programmable Logic Gates - 2021 CAD ...
(1) Verilog 檔格式之輸入檔,範例如下: ... 底下範例是使用connection by order ... 所有test case 裡(包含給定與隱藏的test cases),功能正確數目最多的獲勝;當功.
#58. Testbench編寫指南(1)基本組成與示例 - IT人
TestBench可以用VHDL或Verilog、SystemVerilog編寫,本文以Verilog HDL為例 ... else if (load) shiftreg = data; else case (sel) 2'b00 : shiftreg ...
#59. Verilog硬體描述語言實務(第三版)- TAAZE 讀冊生活
本書以實用電路設計為主軸,依序透過各電路範例程式的介紹,讀者自然而然就可以理解各語法敘述的使用。 2.對於同一個電路範例引用數個不同的Verilog ...
#60. Verilog教學-前言 - 豆丁网
11Verilog Verilog 一個晶片中可含有百萬個以上的邏輯閘(logicgate)電路以硬體 ... 指令的四種用法範例2-13 設計ALU always行為模式及case 循序指令(四) Verilog 66第 ...
#61. Verilog If - Zechariahxalhec
Hardware Description Languages Verilog Z Verilog Y Structural ... Mixture Of Case And If Else Statements Fpga Digilent Forum. Verilog If ...
#62. Verilog Moore狀態機Mealy狀態機det010_1001 sipo8 piso8 uart
(B) 使用Moore狀態機,請畫出狀態變遷圖後,再寫verilog程式碼。 ... case(state). s0: if(bitin) state=s2;. else state=s1;.
#63. 母板- MAX 10 - DE10-Lite Board
如需進一步範例程式碼講解或修改的協助,我們將轉至「設計服務部門」評估。 ... Factory Default Code; SDRAM Test in Nios II; SDRAM Test in Verilog; VGA Pattern ...
#64. PowerPoint 簡報- PDF Free Download
11 case 敘述如果判定對象是固定個, 我們可以使用case 的方式來敘述會比較方便語法類似C 語言, 且支援巢狀分支例子: 解碼器Verilog - case 敘述case (expression) ...
#65. Open FPGA 系列- UART | Yodalee Note
icesugar-pro 的github 上,也附上了一個UART 的範例, 會不斷對你的電腦 ... verilog 裡面變數分為wire 跟reg ,原意是reg 代表真的有一個實體的暫存 ...
#66. Josh 的学习笔记之Verilog(Part 6——如何写好状态机)
Verilog 的 case 结构对应并行判断的硬件结构,而且当 ... 在这个范例中将用一段式、两段式、三段式分别描述例子的状态转移,如图6-3 所示。
#67. (原創) 多工器MUX coding style整理(SOC) (Verilog) (Quartus II ...
各種coding style的RTL Viewer比較. 1.使用case mux_case.v / Verilog ... [2] 鄭羽伸2006, Verilog數位電路設計範例寶典基礎篇, 儒林圖書出版社
#68. 數位邏輯設計與晶片實務(Verilog)(附範例程式光碟) - 劉紹漢
數位邏輯設計與晶片實務(Verilog)(附範例程式光碟)》 - 劉紹漢- <內容 ... 4-1 順序性與序向電路4-1 4-2 always區塊敘述4-2 4-3 if敘述4-7 4-4 case敘述4-28 4-5 ...
#69. 第一章数字信号处理、计算、程序、 算法和硬线逻辑的基本概念
选择,Verilog语言提供的case语句直接处理多分支选择。case语句通常用于微 ... 序列检测器是时序数字电路设计中经典的教学范例,下面我们将用Verilog ...
#70. 硬體描述語言範例; Verilog Code Example - YouTube
#71. 數字系統設計技術精講:verilog運算符和語句結構介紹(第二課)
verilog 中的設定的關鍵字非常多,比如module、reg、wire、initial、case、force、if、else等等。這裡就不全部羅列出來了,感興趣的可以自己查閱書籍。
#72. 第六章Verilog HDL数字系统设计- MBA智库文档
Verilog 硬件描述语言. ... b;endendmodule51 二、Verilog HDL的行为描述/建模分支语句(续2)b. case分支控制语句> 基本语法格式:case (< 控制表达式>)< 分支项表达 ...
#73. VERILOG硬體描述語言[2版/CD/2005年4月] - 五南文化廣場
本書涵蓋Verilog HDL的廣泛內容,對邏輯合成部份有深入的探討並輔以實例 ... 多工器7-24範例7-20 包含x和z的case敘述7-25範例7-21 casex7-27範例7-22 ...
#74. [問題]請教有關這個Verilog 除頻器的問題- 看板Electronics
... 又照著網路的幾個範例兜出架構但是跑semilution時一直沒辦法讓除頻過的SCL ... read_down==0)begin//read enable case(bit_state) 0:begin//start ...
#75. (原創) 如何實現簡易的數位濾波器? (SOC) (Verilog) - 极客分享
case 1:glitch小於1個週期,且在clk正緣時有敲到 ... 原理圖已經確定後,接著要討論如何使用Verilog表示,我們以delay 3級作OR的原理圖為例:
#76. 多工器Mux 常用的描述方法 - HackMD
多工器Mux 常用的描述方法在處理if-else 或Mux 的時候,在verilog 裡面有下列三種方式: 1. 三元運算子2. if-else 3. case ## 三元運算子.
#77. Modelsim 教學手冊
History of Verilog 始於約1984 年1) Gateway Design Automation Inc. 原始命名 ... 子,很多周邊都與Altera原廠的版子不一樣,所以很多Altera手冊中範例都無法執行, ...
#78. 輕鬆製作履歷
推薦文章. 履歷範例與撰寫教學. 【履歷教學─內容篇Part 1】履歷表的忌諱? ... To have real case exercise and. 技能. 程式語言. ○ Linux shell. ○ Verilog.
#79. FPGA - VHDL语言大规模逻辑设计指导书-嵌入式 - 一牛网
8.2 VHDL 编写范例. ... 第二章VERILOG语言编写规范. ... 1.6case 语句.
#80. Verilog 教學手冊 - fraukroker.de
Verilog 教學手冊 聖闘士星矢全28巻. ... 專案管理工具-Project Manager 程式的架構人機界面-Fr。找到了Labview教學範例相关的热门资讯。
#81. 4.6 Verilog 多路分支語句 - it編輯入門教程
case 語句. case 語句格式如下: case(case_expr) condition1 : true_statement1 ; condition2 : true_statement2 ; …… default : default_statement ; endcase. case ...
#82. 1206U820P501GT-A - Datasheet - 电子工程世界
13 小時前 — 1206 Case Size. •. High Capacitance. •. Low ESR. •. NPO and Hi-Q NPO Dielectric Materials. Capacitance Value. Value (pF).
#83. FPGA设计与应用 - 第 37 頁 - Google 圖書結果
在可综合风格的 Verilog HDL 模型中常用的条件语句有 it.else 和 case.endcase 两 ... 这一节我们给的是有关 it.else 的范例,有关 case.endcase 结构的代码以后会经常 ...
#84. Verilog 書
Verilog 語言基礎:數值類型,表達式與運算符,assign語句,always語句,if-else語句,case語句,阻塞與非阻塞。 ——《Verilog HDL 硬體描述語言》 狀態機:一段式、二段 ...
#85. Verilog語法_2(case語法和task語法) - 台部落
Verilog 語法_2(case語法和task語法) ... 聲明:轉載請註明作者及出處。 ... 上圖,是在Quartus II裏顯示的最底層,右上方表示一個reg。 ... 時鐘走的線是金線, ...
#86. Verilog 教學手冊
6 天前 — Verilog 教學手冊 Vr video player ios. ... 管理工具-Project Manager 程式的架構人機界面-Fr。找到了Labview教學範例相关的热门资讯。
#87. Intel FPGA/CPLD设计(高级篇) - Google 圖書結果
例如,使用Verilog语描述状态机,可输逻辑独来,单独一个分。如果一要合描述状态转移的组合逻辑中,好输逻辑成一个“task”任务,而在个状态转移的case语句调用这个“task”任务 ...
#88. 程式人(2014年9月) - Google 圖書結果
示範影片筆者用 Altera Quartus II 建立的一個專案,並將上述四個範例放入, ... 檢視)結語所以、當您用 Verilog 「寫程式」的時候,請務必對 case 語法加上 default, ...
#89. Verilog case语句 - 芯片天地
在Verilog 语法中case语句是最常用的语句之一,与if语句类似也是分支选择语句,只能用在顺序过程语句中。一般在非优先级的分支选择中,case语句往往 ...
#90. Verilog设计与逻辑综合实例解析(case&if-else) - 极术社区
Verilog 主要有三种流程控制结构,即case,if-else和“?:”。本节主要说明了case和if-else结构的实现细节。1、如何在case语句和嵌套if-else之间进行选择?case和if-else ...
verilog case 範例 在 [問題]請教有關這個Verilog 除頻器的問題- 看板Electronics 的推薦與評價
小弟最近需要做一個FPGA的i2c master
不過因為之前沒有接觸過
所以看了幾本書之後,又照著網路的幾個範例兜出架構
但是跑 semilution時一直沒辦法讓除頻過的SCL送出波型
Trigger的條件明明都有達成,該拉的狀態也都確認過了
卡了兩天左右實在抓不到方向
硬著頭皮上來問各位大神,希望能幫忙解惑哪個地方有問題
================Verilog Module
`timescale 1ns / 1ns
module SRAM_I2C(
clk_10us,reset,SDA,SCL,
bit_state,
write_down,
read_data,read_down,
Mux_EN1,Mux_EN2,Mux_EN3,Mux_EN4);
input clk_10us,reset;
inout SDA;
output reg SCL;
output reg Mux_EN1,Mux_EN2,Mux_EN3,Mux_EN4;
output reg [7:0]bit_state;
output reg write_down,read_down;
output reg [7:0]read_data;
reg isOut,tx_SDA;
reg [1:0]cnt;
reg [4:0]byte_state;
reg [7:0]write_data;
//for address use
parameter
write_address =8'b0000_0000,
write_chipaddress =8'b0000_0000,
write_bit =8'b0000_0000;
assign SDA = isOut ? tx_SDA : 1'bz;
//CLK Div for 10us
always @(posedge clk_10us or negedge reset)
begin
if(!reset)
cnt <=0;
else begin
if(cnt ==3)
cnt <=0;
else
cnt <= cnt +1'b1;
end
end
always @(posedge clk_10us or negedge reset)
begin
if(!reset)begin
tx_SDA <=0;
SCL <=0;
isOut <=0;
bit_state <=0;
byte_state <=0; //Check bytes
write_data <=0;
write_down <=1;
read_down <=0;
read_data <=0;
buf_time<=0;
Mux_EN1<=0;
Mux_EN2<=0;
Mux_EN3<=0;
Mux_EN4<=0;
end
else if(write_down==1 && read_down==0)begin//read enable
case(bit_state)
0:begin//start
if(cnt==0)begin SCL<=1;tx_SDA<=1;isOut <=1;end
if(cnt==1)begin SCL<=1;tx_SDA<=1;end
if(cnt==2)begin SCL<=1;tx_SDA<=0;end
if(cnt==3)begin SCL<=0;tx_SDA<=0;bit_state<=bit_state +1;
if(byte_state==3)write_data<=8'b10100001;else
write_data<=write_address;end
end
default:begin isOut <=0;end
endcase
end
end
endmodule
================Test Bench
`timescale 100ns / 100ns
module SRAM_I2C_TB;
// Inputs
reg clk_10us;
reg reset;
// Outputs
wire SCL;
wire [7:0] bit_state;
wire [7:0] read_data;
wire Mux_EN1;
wire Mux_EN2;
wire Mux_EN3;
wire Mux_EN4;
wire SDA;
SRAM_I2C uut (
.clk_10us(clk_10us),
.reset(reset),
.SDA(SDA),
.SCL(SCL),
.bit_state(bit_state),
.write_down(write_down),
.read_data(read_data),
.read_down(read_down),
.Mux_EN1(Mux_EN1),
.Mux_EN2(Mux_EN2),
.Mux_EN3(Mux_EN3),
.Mux_EN4(Mux_EN4)
);
//Generate CLK
reg [7:0] bit_states;
always begin
#50 clk_10us=!clk_10us;
end
initial begin
// Initialize Inputs
#0;
clk_10us = 0;
reset = 1;
#30;
reset = 0;
#5000 $finish;
end
endmodule
--
※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 123.110.178.209
※ 文章網址: https://www.ptt.cc/bbs/Electronics/M.1535642616.A.CE6.html
※ 編輯: ghost008 (123.110.178.209), 08/30/2018 23:24:02
不過我希望第一步的Waveform要能正確輸出,再慢慢修改
※ 編輯: ghost008 (118.166.219.36), 08/31/2018 12:33:20
原來是initial的SCL=0 是Activie low,這樣轉態會讀不到
現在可以動了 非常感謝!!!
※ 編輯: ghost008 (118.166.219.36), 08/31/2018 13:17:24
... <看更多>